DE · Topics · Simulate · Sponsored Content

Supporting Sustainability With Advanced Simulation Solutions 

AMD EPYC™7003 series processors with AMD 3D V-Cache™ technology boost Ansys simulation performance while helping reduce energy consumption.

AMD EPYC™7003 series processors with AMD 3D V-Cache™ technology boost Ansys simulation performance while helping reduce energy consumption.

As engineering models grow in size, and simulation and analysis tasks grow more complex, design engineers increasingly rely on high-performance computing (HPC) infrastructure to handle their simulation workloads. However, not all HPC resources are alike. It is critically important to match the right HPC architecture with specific software workloads to help optimize performance and efficiency.

That is why the technical partnership between AMD and Ansys has proven so valuable – the enhanced CPU architectures offered by AMD in its latest 3rd-generation EPYC™ 7003 family of processors with AMD 3D V-Cache™ technology can improve simulation throughput performance, for example by as much as 88% on Ansys CFX. (See Note 1.) This not only provides a  boost for engineering productivity, but can also help cut energy costs and address sustainability goals.

AMD developed the new 3D V-cache EPYC 7003 series processors to accelerate CAE workflows in response to the growing demand for cache-sensitive compute, and the shifting cost-to-performance ratio of raw silicon. The challenge: increasing the cache of the “Zen 3” architecture to boost performance without increasing the footprint of the processor or the cache latency.

AMD leveraged 3D die stacking to boost the performance of its EPYC CPUs without requiring any additional real estate on the motherboard. The AMD 3D V-Cache  provides 3x the cache compared to original products in the 7003 line. Best of all, users can upgrade their 3rd Gen EPYC powered infrastructure without deploying new servers, performing new application updates, or rearchitecting the systems.

The combination of high L3 cache per chip (768 MB) and high memory bandwidth allows data to be fed to the CPU much more quickly. This accelerates the performance of applications for computational fluid dynamics (CFD), finite element analysis (FEA), and tasks such as crash simulations, as well as workloads in electronic design automation (EDA) and other fields.  

According to a recent Ansys blog, the EPYC 7003 series enables up to an 80% improvement in large-scale CFD workloads like those run in Ansys Fluent and Ansys CFX. The new chips can improve explicit FEA performance in Ansys LS-DYNA by as much as 50%. This performance extends to cloud environments as well, where for example Ansys Fluent on Azure HBv3 VMs achieves a superlinear speedup of ~56x when scaled to 32 VMs, or ~176% scaling efficiency, on the combustor_71m benchmark model. (See Note 2.) 

This increased performance can also help address sustainability initiatives by reducing the number of required servers, likely reducing the use of energy to perform larger numbers of simulations. For instance, an end user running 4600 Ansys cfx-50 CFD simulations per day could do so with half number of servers required comparing 2P AMD EPYC 7573X to 2P Intel 8362 servers powered servers, while cutting power consumption by approximately 49%. That provides an estimated total cost of ownership savings of 51% over three years, while also cutting the number of cores  in half. (See Note 3.)

The new processor improvements apply across the suite of Ansys simulation and analysis products. For example, compared to a top of stack 40-core Intel 2P Xeon 8380 CPU, a 2P  AMD EPYC 7773X (64 cores) powered server provides up to a 47% average uplift for Ansys Fluent; a 69% average uplift for FEA explicit solver on Ansys LS-DYNA, and a 96% average uplift for Ansys CFX. Exceptional results are also seen at equal core counts, for a performance-per-core boost. (See Notes 4,5,6.)

AMD has worked closely with Ansys to help end users have a full range of infrastructure options to meet their engineering workflow needs. These new EPYC CPUs with 3D V-Cache provide benefits for many CFD, FEA and EDA applications that benefit from large L3 cache. For less cache-sensitive applications, users can choose standard EPYC 7003 series processors which continue to deliver outstanding performance. The popular AMD Optimizing CPU Libraries (AOCL) can be used to accelerate applications in CAE and other verticals to boost performance on AMD architecture. The two companies collaborated for performance optimization of Ansys Mechanical on EPYC processors, delivering gains of 1.26x geomean with gains as much as 2.12x.2 Ansys and AMD are continuing to work on complementary hardware and software solutions that will further accelerate explicit FEA and high frequency electromagnetics simulation and analysis.

Want to learn more? Watch the AMD Meet the Experts webinar with Ansys to hear more about how AMD EPYC processors can improve simulation performance. You can also download this infographic, which further explains how AMD 3D V-Cache technology boosts the performance of Ansys simulation solutions.


 

Note 1:  MLNX-010A: ANSYS® CFX® 2022.1 comparison based on AMD internal testing as of 02/14/2022 measuring the average time to run the cfx_10, cfx_50, cfx_100, cfx_lmans, and cfx_pump test case simulations. Configurations: 2x 32C AMD EPYC™ 7573X with AMD 3D V-Cache technology™ versus 2x 32C Intel Xeon Platinum 8362. Cfx_10 is the max result. Results may vary based on factors including silicon version, hardware and software configuration and driver versions.

Note 2: See Performance of Ansys® Mechanical® Optimized with AMD Optimizing CPU Libraries (AOCL) on AMD EPYC™ 7003 Series Processors at https://www.amd.com/system/files/documents/amd-epyc-7003-3d-vcache-pb-azure-hbv3-ansys-fluent.pdf 

Note 3: See AMD EPYC claim MLNXTCO-001 at https://www.amd.com/en/claims/epyc3x#faq-MLNXTCO-001 

Note 4: MLNX-009A: ANSYS® LS-DYNA® 2022.1 comparison based on AMD internal testing as of 09/27/2021 measuring the time to run the 3Cars, Car2Car, odb10m-short, and Neon test case simulations. Configurations: 2x 64C AMD EPYC 7773X with AMD 3D V-Cache Technology (“Milan-X”) versus 2x 40C Intel Xeon Platinum 8380. 3cars is the max result. Results may vary based on factors including silicon version, hardware and software configuration and driver versions. 

Note 5: MLNX-029: ANSYS® CFX® 2022.1 comparison based on AMD internal testing as of 02/14/2022 measuring the average time to run the cfx_10, cfx_50, cfx_100, cfx_lmans, and cfx_pump test case simulations. Cfx_lmans is the max result. Configurations: 2x 64C AMD EPYC™ 7773X with AMD 3D V-Cache™ technology versus 2x 40C Intel Xeon Platinum 8380. Results may vary based on factors including silicon version, hardware and software configuration and driver versions. 

Note 6: MLNX-030: ANSYS® Fluent® 2022.1 comparison based on AMD internal testing as of 02/14/2022 measuring the rating of the Release 19 R1 test case test case simulations. Fluent-pump2 is the max result. Configurations: 2x 64C AMD EPYC™ 7773X with AMD 3D V-Cache™ technology versus 2x 40C Intel Xeon Platinum 8380. Results may vary based on factors including silicon version, hardware and software configuration and driver versions.

Intel and Xeon are trademarks of Intel Corporation or its subsidiaries.

AMD, the AMD Arrow logo, EPYC, and combinations thereof are trademarks of Advanced Micro Devices, Inc.  Other product names used in this publication are for identification purposes only and may be trademarks of their respective companies.

More AMD Coverage

Accelerating Electric Vehicle Development with Multidisciplinary Simulation and High-Performance Computing
In this new Making the Case guide, learn how a unified approach to design and multidisciplinary simulation from Dassault Systèmes, combined with high-performance computing powered by AMD EPYC™ processors, can accelerate EV design.
Lenovo Debuts Desktops Powered by AMD Processors
Next-generation business workstations feature AI capabilities.
Matching Workstation to Workload
Finding just the right fit can be tricky but doable.
Desktop vs. Mobile Dilemma
Sorting out individual workstation benefits boils down to how the engineer plans to use the system.
AMD Debuts Embedded+ Architecture to Enhance Edge AI
The single integrated board is scalable and power-efficient, the company notes.
Keeping Pace With Needs of Workstation Users
Post-pandemic, engineers and designers want a balance between power and form factor for the newest workstations.
AMD Company Profile

Share This Article

Subscribe to our FREE magazine, FREE email newsletters or both!

Join over 90,000 engineering professionals who get fresh engineering news as soon as it is published.


#26514